




版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、中北大學中北大學課課 程程 設(shè)設(shè) 計計 說說 明明 書書 學生姓名:吳艷玲學 號: 0706024105學學 院院: 電子與計算機科學技術(shù)學院專專 業(yè)業(yè): 微電子學題題 目目:利用按鍵開關(guān)控制點陣進行十進制數(shù)字顯示 指導(dǎo)教師:指導(dǎo)教師: 王紅亮王紅亮 職稱職稱: 講師講師 2010 年 6 月 25 日- 1 -目 錄1、課程設(shè)計目的22、課程設(shè)計內(nèi)容和要求22.1、設(shè)計內(nèi)容22.2、設(shè)計要求23、設(shè)計方案及實現(xiàn)情況23.1、設(shè)計思路23.2、工作原理及框圖33.3、各模塊功能描述43.4、仿真結(jié)果 153.5、實驗箱驗證情況 154、課程設(shè)計總結(jié) 175、參考文獻 18 - 2 -1 1、課
2、程設(shè)計目的、課程設(shè)計目的(1)學習操作數(shù)字電路設(shè)計實驗開發(fā)系統(tǒng),掌握點陣顯示模塊的工作原理及應(yīng)用。(2)掌握組合邏輯電路、時序邏輯電路的設(shè)計方法。(3)學習掌握可編程器件設(shè)計的全過程。2 2、課程設(shè)計內(nèi)容和要求、課程設(shè)計內(nèi)容和要求2.1、設(shè)計內(nèi)容用 VHDL 語言編寫程序,使按鍵開關(guān)控制點陣顯示十進制數(shù)字。2.2、設(shè)計要求(1)學習掌握按鍵開關(guān)控制模塊、點陣顯示模塊的工作原理及應(yīng)用;(2)熟練掌握 VHDL 編程語言,編寫按鍵開關(guān)控制模塊的控制邏輯;(3)仿真所編寫的程序,模擬驗證所編寫的模塊功能;(4)下載程序到芯片中,硬件驗證所設(shè)置的功能,能夠?qū)崿F(xiàn)十進制數(shù)字的顯示;(5)整理設(shè)計內(nèi)容,編寫
3、設(shè)計說明書。 3 3、 設(shè)計方案及實現(xiàn)情況設(shè)計方案及實現(xiàn)情況3.1、設(shè)計思路此電路共由三個模塊組成:按鍵消抖模塊、行選通信號產(chǎn)生模塊與列掃描控制模塊。88 的 LED 點陣顯示器是由 64 個 LED 組成, 內(nèi)部電路如圖 1 所示。123456781334106111516123456789148121725管腳行列管腳圖 1 88LED 點陣電路原理圖- 3 - 共陽極的 8 8 的 LED 點陣顯示器的典型連接方式是:每一行的 8 個陽極連在一起,由行掃描碼鎖存器和驅(qū)動器的一位控制,總共 8 行陽極連線由 8 位分別控制;每一列的 8 個陰極連在一起,由列掃描碼鎖存器和驅(qū)動器的一位控制,
4、總共 8 列陰極連線由 8位分別控制。本實驗采用四塊 8 8 的 LED 點陣拼成的一個 16 16 點陣(16 列 16 行)作為1 位字符顯示的點陣碼圖。點陣式 LED 顯示器采用逐行掃描式工作。要使點陣顯示出一個字符的編程方法是:首先選通第一行;接著,向行碼鎖存器寫入該行的字型碼(即列數(shù)據(jù)) 。然后,按相同的方式選通第二行,寫第二行的字型碼由此類推,直到寫完所有行的字型碼,完成一個字符的顯示。3.2、工作原理及框圖(1)工作原理按鍵的抖動問題:由于機械觸點的彈性振動,按鍵在按下時不會馬上穩(wěn)定地接通而在彈起時也不能一下子完全的斷開,因而在按鍵閉合和斷開的瞬間均會出現(xiàn)一連串的抖動,這稱為按鍵
5、的抖動干擾,其產(chǎn)生的波形如圖 2 所示,當按鍵按下時會產(chǎn)生前沿抖動,當按鍵彈起時會產(chǎn)生后沿抖動。這是所有機械觸點式按鍵在狀態(tài)輸出是的共性問題,抖動的時間長短取決于按鍵的機械特性與操作狀態(tài),一般為 10ms-100ms,此為鍵盤處理設(shè)計時要考慮的一個重要參數(shù)。前沿抖動后沿抖動圖 6 -2 按鍵的抖動干擾閉合穩(wěn)定釋放穩(wěn)定鍵按下鍵釋放圖 2 按鍵抖動干擾產(chǎn)生的波形鍵盤抖動干擾的消除:按鍵的抖動會造成按一次鍵產(chǎn)生的開關(guān)狀態(tài)被可編程邏輯器件誤讀幾次。為了使可編程邏輯器件能正確地讀取按鍵狀態(tài),必須在按鍵閉合或斷開時,消除產(chǎn)生的前沿或后沿抖動,- 4 -去抖動的方法有硬件方法和軟件方法兩種。本次課程設(shè)計采用
6、了軟件方法:軟件方法是指編制一段時間大于 100ms 的延時程序,在第一次檢測到有鍵按下時,執(zhí)行這段延時子程序使鍵的前沿抖動消失后再檢測該鍵狀態(tài),如果該鍵仍保持閉合狀態(tài)電平,則確認為該鍵已穩(wěn)定按下,否則無鍵按下,從而消除了抖動的影響。同理,在檢測到按鍵釋放后,也同樣要延遲一段時間,以消除后沿抖動,然后轉(zhuǎn)入對該按鍵的處理。點陣掃描電路的產(chǎn)生掃描電路的作用是用來提供按鍵掃描信號 K 的電路,掃描信號的變化的順序依次為1111111110-1111111101-1111111011-1111110111-1111101111-1111011111-1110111111-1101111111-1011
7、111111-01111111111 依次的周而復(fù)始。掃描時依序分別掃描按鍵,當掃描信號為 1111111110 時,掃描 K1 這個按鍵,每掃描一個按鍵,就檢查一次是否有按鍵按下,如果有按鍵按下,就馬上停止掃描,立即進行按鍵編碼動作,存儲鍵碼,如果沒有按鍵按下,則繼續(xù)掃描下一個。(2)總體電路原理圖圖 3 總體電路原理圖3.3、各模塊功能描述(1)消抖模塊圖 4 消抖模塊圖- 5 -程序代碼library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;
8、entity xiaodou is port( rst:in std_logic; k: in std_logic_vector(9 downto 0); clk: in std_logic; kout: out std_logic_vector(9 downto 0) );end xiaodou;architecture a of xiaodou issignal count:integer range 0 to 100; begin process(clk,rst,k)begin if(rst=0)then count=0; kout=0000000000; elsif(clkevent
9、and clk=1)then if(count=100)then count=0; kout=k; else count=count+1; end if; end if;- 6 - end process;end a;(2)行選通模塊圖 5 行選通模塊圖程序代碼library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnta isport(clk:in std_logic; q:out std_logic_vector (3 downto 0);end cnta;architecture c
10、nt_arc of cnta isbeginprocess(clk)variable tmp:std_logic_vector(3 downto 0);beginif clkevent and clk=1 then if tmp=1111 then tmp:=0000; else tmp:=tmp+1; end if;end if;q case sel is when 0000=qqqqqqqqqqqqqqqqnull; end case; when 1111111101= case sel is when 0000=qqqqqqqqqqqqqqqqnull; end case; when 1
11、111111011= case sel is when 0000=qqqqqqqqqqqqqqqqnull; end case;when 1111110111= case sel is when 0000=qqqqqqqqqqqqqqqqnull; end case;when 1111101111= case sel is when 0000=qqqqqqqqqqqqqqqqnull; end case;when 1111011111= case sel is when 0000=qqqqqqqqqqqqqqqqnull; end case;when 1110111111= case sel
12、is when 0000=qqqqqqqqqqqqqqqqnull; end case;when 1101111111= case sel is when 0000=qqqqqqqqqqqqqqqqnull; end case;when 1011111111= case sel is when 0000=qqqqqqqqqqqqqqqqnull; end case;when 0111111111= case sel is when 0000=qqqqqqqqqqqqqqqqnull; end case;when others=null; end case; end process;end co
13、rn_arc;圖 8 列掃描控制模塊仿真波形圖- 15 -3.4、仿真結(jié)果圖 9 總體電路仿真波形圖3.5、實驗箱驗證情況總體連線圖- 16 -點陣顯示的字符結(jié)果數(shù)字 0 數(shù)字 1 數(shù)字 2 數(shù)字 3 數(shù)字 4 數(shù)字 5 - 17 -數(shù)字 6 數(shù)字 7 數(shù)字 8 數(shù)字 9 4 4、課程設(shè)計總結(jié)、課程設(shè)計總結(jié)在經(jīng)過長達一個月的課程設(shè)計中,我學會了很多東西,是在原本所學知識的基礎(chǔ)上,進行更深一步的研究,并能夠應(yīng)用到實踐中去。本次課程設(shè)計主要用到的是可編程邏輯器件,利用 Max+pluxII 軟件進行模擬仿真驗證。可編程邏輯器件的功能不是固定不變的,而是可根據(jù)用戶的需要而進行改變,即由編程的方法來確
14、定器件的邏輯功能。它的集成度高,可以替代多至幾千塊通用 IC 芯片,極大減小了電路的面積,降低功耗,提高可靠性,具有完善先進的開發(fā)工具,提供語言、圖形等設(shè)計方法,十分靈活,通過仿真工具來驗證設(shè)計的正確性。在 Max+pluxII 環(huán)境中,進行設(shè)計的方法為:首先進行設(shè)計輸入,然后編譯、仿真和進行時序分析,最后進行器件編程。而設(shè)計輸入又包括圖形設(shè)計輸入和文本設(shè)計輸入。在本次課程設(shè)計中采用了多級設(shè)計輸入的方法,即: (1)將設(shè)計分為幾個模塊(2)單獨輸入并調(diào)試每個模塊- 18 -(3)每個獨立模塊都產(chǎn)生 Default Symbols 或者 Include 文件 (4)將這些模塊應(yīng)用于高級設(shè)計文件在
15、編譯的過程中也要首先選擇目標器件,在無任何管腳分配的情況下編譯高級設(shè)計來檢驗實際設(shè)計是否滿足目標器件。在驗證時,要進行波形圖仿真,看個模塊實現(xiàn)的功能是否正確,是否存在假電平信號,執(zhí)行時序分析,解決性能受阻問題。在進行器件編程時,運行測試驗證系統(tǒng),必要的話,修改設(shè)計,根據(jù)后面的注釋提示重新編譯并重新給器件編程。硬件連接是課程設(shè)計中的一個大的模塊,要通過點陣進行顯示十進制數(shù)字,就要把所編寫的程序下載到 CPLD 中,然后才能輸出到點陣上顯示,CPLD 的工作大部分是在電腦上完成的。 在 Max+pluxII 給出邏輯電路的輸入激勵信號,進行仿真,查看邏輯輸出結(jié)果是否正確,進行管腳輸入、輸出鎖定,然后生成代碼,最后通過下載電纜將代碼傳送并存儲在 CPLD 芯片中。本次課程設(shè)計中,十個開關(guān)狀態(tài)為激勵信號,連接到芯片,再由輸出引腳接到點陣進行顯示。在設(shè)計的過程中也遇到了很多的問題,軟件方面,程序的編寫、仿真都很容易出錯,而在硬件連接時,經(jīng)常會遇到下載失敗的問題
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025年燒傷外科臨床主治醫(yī)師職業(yè)技能資格知識考試題與答案
- 2025年社會工作者之初級社會綜合能力考前沖刺試卷A卷含答案
- 2025年廣告設(shè)計師專業(yè)技術(shù)及理論知識考試題庫(附含答案)
- 2025單招職業(yè)適應(yīng)性測試題庫及答案
- 2025年電力《安規(guī)》熱力和機械部分試題與答案
- 2024下半年肇慶市德慶縣事業(yè)單位招聘考試《綜合基礎(chǔ)知識》試題(附答案)
- 攝影導(dǎo)演基礎(chǔ)知識培訓(xùn)課件
- 水文新技術(shù)應(yīng)用試題及答案
- 環(huán)保知識培訓(xùn)課件
- 2025關(guān)于租房合同協(xié)議書的范本
- Sony MD隨身聽的歷史
- 北師大版九年級數(shù)學上九年級第一二單元綜合數(shù)學試題
- Foxconn連接器設(shè)計手冊
- 二級建造師成績復(fù)核申請
- 學習解讀《醫(yī)療保障基金使用監(jiān)督管理條例》PPT課件(帶內(nèi)容)
- GB/T 13384-2008機電產(chǎn)品包裝通用技術(shù)條件
- GB 11121-2006汽油機油
- 沙爾夫柴油機齒軌卡軌車課件
- 房產(chǎn)無抵押情況說明及承諾書
- DB32-T 2860-2015散裝液體化學品槽車裝卸安全作業(yè)規(guī)范-(高清現(xiàn)行)
- 中國石油天然氣集團公司井控裝備技術(shù)判廢檢驗管理規(guī)定
評論
0/150
提交評論